CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl led

搜索资源列表

  1. LED点阵

    0下载:
  2. 大屏幕led点阵显示的驱动时序。 使用vhdl语言描述。其中rom文件可以使用lpm_megcore自动生成。-big screen led to the dot matrix display driver timing. The use of VHDL descr iption language. Rom which documents can be automatically generated using lpm_megcore.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3887
    • 提供者:王卫
  1. VHDL范例

    1下载:
  2. 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:42884
    • 提供者:kerty
  1. 流水灯VHDL程序

    0下载:
  2. 流水灯的VHDL原程序,以4种模式LED显示.-wasted lights VHDL program, in the four-mode LED display.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1004
    • 提供者:韦元龙
  1. LED.VHDL

    0下载:
  2. LED控制VHDL程序与仿真 分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序-LED control procedures and VHDL simulation briefed on the use of FPGA LED static and dynamic significantly the figures show clock control procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5583
    • 提供者:少龙
  1. chengxu(vhdl)

    0下载:
  2. 这是用VHDL编写的FPGA与计算机进行串口通信的程序和一个LED程序-VHDL and FPGA prepared by the computer serial communication procedures and an LED procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:549372
    • 提供者:黄鹏飞
  1. LED

    0下载:
  2. 用VHDL 语言描述度7段LED数码显示管,其开发均在FPGA中-using VHDL descr iption of 7 degrees LED digital display tubes, which were developed in FPGA
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:123327
    • 提供者:侯同
  1. vhdl

    0下载:
  2. 基于MAXPLUS II 的软件设计,这里面有几个小程序,用于VHDL的GDF设计,含有LED数码管的显示驱动程序,还有3选一,十选一程序。-II FPGA-based design software, there are several small procedures, GDF for VHDL design with a digital LED display driver of the procedures, there is a three elections. 10 election
  3. 所属分类:其它

    • 发布日期:2014-01-15
    • 文件大小:2442
    • 提供者:梁兵
  1. LED

    0下载:
  2. 基于alteraCPLD芯片的VHDL点阵滚动显示源代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:109060
    • 提供者:林晋阳
  1. 使用VHDL语言设计数字钟

    1下载:
  2. 本文所设计的数字钟具有通过reset键对时、 分、 秒调整功能.该设计分为六个部分: 六进制计数器 counter6,十进制计数器 counter10 ,二四进制计数器 counter24, 时钟模块 bclock, LED扫描显示模块 ledctrl。设计使用VHDL 语言,
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:1628
    • 提供者:woxisiji
  1. VHDL基于实验开发板的按键处理与LED显示

    0下载:
  2. VHDL基于实验开发板的按键处理与LED显示。
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:1052
    • 提供者:sheji105
  1. led

    0下载:
  2. vhdl实现“PLD电子技术”(文字显示)
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:755
    • 提供者:阿乔
  1. LED

    0下载:
  2. 以两种结构编写的VHDL驱动LED 已通过调试
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:408529
    • 提供者:hbsun
  1. LED

    0下载:
  2. 一个LED显示动态扫描方式的vhdl实现
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5146
    • 提供者:xjz
  1. VHDL

    0下载:
  2. LCD控制VHDL程序与仿真和LED控制VHDL程序与仿真,都已调试过了
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:163442
    • 提供者:gillyamylee
  1. led

    0下载:
  2. 8位数码扫描显示电路设计(VHDL)通过编译
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1554
    • 提供者:hh
  1. e_lift.vhdl

    0下载:
  2. 电梯停在一楼时,接受到请求信号c_d3、c_d2、c_u4和d6,并把请求信号写入相应的寄存器。led显示电梯所在楼层;led_d、led-c_u和led_c_d显示用户的请求。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:162905
    • 提供者:李立
  1. xuehao

    0下载:
  2. LED数字屏实现11位学号滚动循环,6位的显示屏(LED digital screen implementation of 11 bit roll cycle)
  3. 所属分类:其他

    • 发布日期:2018-01-09
    • 文件大小:1579008
    • 提供者:不听不想
  1. VHDL控制LED程序与仿真

    0下载:
  2. VHDL控制LED程序与仿真加上详细说明与解释(VHDL controls the LED program and simulation with detailed explanation and explanation)
  3. 所属分类:其他

    • 发布日期:2018-04-21
    • 文件大小:5120
    • 提供者:hq1104
  1. 8.2 LED控制VHDL程序与仿真 2004.8修改

    0下载:
  2. 8.2 LED控制VHDL程序与仿真 ,FPGA驱动LED静态显示,功能:译码输出模块,LED为共阳接法(8.2 LED control VHDL program and simulation)
  3. 所属分类:其他

    • 发布日期:2018-04-29
    • 文件大小:5120
    • 提供者:少年高飞
  1. LED控制VHDL程序与仿真

    0下载:
  2. LED控制VHDL程序与仿真 分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序。
  3. 所属分类:VHDL编程

« 1 2 34 5 6 7 8 9 10 ... 23 »
搜珍网 www.dssz.com